设为首页收藏本站积分充值论坛守则开通VIP升级攻略

阿斯米网

简单一步 , 微信登陆

手机短信,快捷登录

只需一步,快速开始

搜索
总共48776条微博

论坛承接ASME相关业务 2023年最新国外标准中译本价格目录 关于ASME BPVC 2023版预定优惠折扣的通知
ASME 2023版中文翻译众筹中 广告位招租[50米粒/天] [ASME BPVC 2023版征订单下载]
1520查看 | 7回复

[分享] Aldec Active-HDL 10.1 x64

[复制链接]

TA在排名榜Top100

积分:NO. 71 名

发帖:NO. 34 名

在线:NO. 89 名

累计签到:1242 天
连续签到:1 天
发表于 2014-12-29 17:06:37 | 显示全部楼层 |阅读模式
Aldec Active-HDL 10.1 x64
003181d0_medium.jpeg
Active-HDL是集成VHDL,Verilog,EDIF,SystemC开发环境。它由设计工具,VHDl&Verilog编译器,单仿真内核,调试工具,图形仿真和资源、库等管理工具,可让用户运行仿真,综合,实现,以及第三方工具。
Aldec公司所提供的高阶FPGA及ASIC设计和验证环境—Active-HDL,能够协助工程人员进行电信、军事,或者消费性电子等应用的硬体实现。Active-HDL能够和业界标准相容,如IEEE、ISO、IEC及其它标准等,它为您的设计提供了极广的覆盖率及支援。 其它强大的功能和工具,如程式码覆盖率分析(Code Coverage Analysis),图表编辑器,和状态图表编辑器,都能协助您以非平行的方式检视您的设计元素。Active-HDL具备除错工具,能支援Soft或Hard IP Core元件;其它的特色如图形化使用介面、程式语法、或混合模式开发都能加快您的设计速度。

Aldec Active-HDL10.1 (64bit) | 478.7 mb
Aldec, Inc.,announces the latest release of its mixed-language FPGA design platform,Active-HDL 10.1. Popular with designers for more than 15 years for FPGA designentry and simulation due to its award-winning and intuitive GUI and highperformance simulator, Active-HDL now offers support for 64-bit simulation tomeet the growing demand of simulation of larger designs.

Active-HDL is an HDL-based FPGA Design and Simulation solution that supportsthe newest FPGA devices available from all leading FPGA vendors. Thehigh-performance, mixed-language solution interfaces with nearly one hundredtwenty (120) third party vendor tools and provides FPGA designers a singleplatform that can be used independently of the targeted FPGA design flow.Active-HDL 10.1 supports design creation and simulation of the newestindustry-leading FPGA devices from Altera, Lattice, Microsemi (Actel),Quicklogic and Xilinx.

More info: https://www.aldec.com/en/fpga_simulation/active-hdl

About Aldec

Established in 1984, Aldec is an industry leader in Electronic DesignVerification and offers a patented technology suite including: RTL Design, RTLSimulators, Hardware-Assisted Verification, SoC and ASIC Prototyping, DesignRule Checking, IP Cores, Requirements Lifecycle Management, DO-254 FunctionalVerification and Military/Aerospace solutions.

Name: Aldec Active-HDL
Version: (64bit) 10.1.3088.5434
Home: www.aldec.com
Interface: english
OS: Windows XP / Vista / Seven / 8 / 8.1
Size: 478.7 mb


评分1

查看全部评分1

米粒+20 理由

收起
dives4shen + 20 资料文件共享!

查看全部评分

"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下

TA在排名榜Top100

积分:NO. 21 名

发帖:NO. 69 名

在线:NO. 46 名

累计签到:3133 天
连续签到:2 天
发表于 2014-12-29 19:32:02 | 显示全部楼层
Active-HDL是集成VHDL,Verilog,EDIF,SystemC开发环境。
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
累计签到:944 天
连续签到:1 天
发表于 2014-12-30 00:51:17 | 显示全部楼层
谢谢楼主,很好的资料
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
累计签到:25 天
连续签到:1 天
发表于 2014-12-30 04:38:48 | 显示全部楼层
很好的东东,谢楼主
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
累计签到:1137 天
连续签到:1 天
发表于 2014-12-30 11:01:06 | 显示全部楼层
感谢楼主的分享,支持一下
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
累计签到:94 天
连续签到:5 天
发表于 2020-10-6 08:56:26 | 显示全部楼层
学习一下,谢谢楼主
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
回复 支持 反对

使用道具 举报

尚未签到

发表于 2021-3-3 11:13:21 | 显示全部楼层
这个软件很好用。比modelsim好太多,找了很久。
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
回复 支持 反对

使用道具 举报

累计签到:359 天
连续签到:1 天
发表于 2021-3-4 08:00:07 | 显示全部楼层
非常感谢分享。。。。
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
回复 支持 反对

使用道具 举报

请登陆网站
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

服务热线

400-8888888

周一至周日:9:00-21:00

快速回复 返回顶部 返回列表