设为首页收藏本站积分充值论坛守则开通VIP升级攻略

阿斯米网

简单一步 , 微信登陆

手机短信,快捷登录

只需一步,快速开始

搜索
总共48963条微博

论坛承接ASME相关业务 2023年最新国外标准中译本价格目录 关于ASME BPVC 2023版预定优惠折扣的通知
ASME 2023版中文翻译众筹中 广告位招租[50米粒/天] [ASME BPVC 2023版征订单下载]
1164查看 | 3回复

[分享] Aldec Active-HDL 10.1 (32bit)

[复制链接]

TA在排名榜Top100

积分:NO. 71 名

发帖:NO. 34 名

在线:NO. 90 名

累计签到:1242 天
连续签到:1 天
发表于 2014-12-29 17:03:15 | 显示全部楼层 |阅读模式
AldecActive-HDL 10.1 (32bit)
0031b75c_medium.jpeg
Active-HDL是集成VHDL,Verilog,EDIF,SystemC开发环境。它由设计工具,VHDl&Verilog编译器,单仿真内核,调试工具,图形仿真和资源、库等管理工具,可让用户运行仿真,综合,实现,以及第三方工具。
Aldec公司所提供的高阶FPGA及ASIC设计和验证环境—Active-HDL,能够协助工程人员进行电信、军事,或者消费性电子等应用的硬体实现。Active-HDL能够和业界标准相容,如IEEE、ISO、IEC及其它标准等,它为您的设计提供了极广的覆盖率及支援。 其它强大的功能和工具,如程式码覆盖率分析(Code Coverage Analysis),图表编辑器,和状态图表编辑器,都能协助您以非平行的方式检视您的设计元素。Active-HDL具备除错工具,能支援Soft或Hard IP Core元件;其它的特色如图形化使用介面、程式语法、或混合模式开发都能加快您的设计速度。

Aldec Active-HDL10.1 (32bit) | 244.3 mb
Aldec, Inc.,announces the latest release of its mixed-language, advanced verificationplatform, Riviera-PRO 2014.10. This release of Riviera-PRO delivers speed andefficiency to the verification process by enhancing coverage metrics.Riviera-PRO has long supported UCIS-compatible coverage databases, and thelatest release enables a new approach by linking requirements-based,user-defined test plan with coverage metrics.

Based on design specifications, engineers typically create a test plan toexamine the functionality and other properties of the design. These test plans,often written in Microsoft Excel or similar format, are then converted to XMLwhich Riviera-PRO can now import into Aldec’s coverage database file. This filecan later be merged with the coverage database file from other simulation runs,enabling the user to link test plan sections with collected coverage databasesand generate reports to validate the verification progress.

The 2014.10 release of Riviera-PRO also includes numerous new features,enhancements, and performance optimizations. For additional information,tutorials, free evaluation download and What’s New Presentation, visit https://www.aldec.com/riviera-pro
About Aldec

Established in 1984, Aldec is an industry leader in Electronic DesignVerification and offers a patented technology suite including: RTL Design, RTLSimulators, Hardware-Assisted Verification, SoC and ASIC Prototyping, DesignRule Checking, IP Cores, Requirements Lifecycle Management, DO-254 FunctionalVerification and Military/Aerospace solutions.
0031b760.jpeg
Name: Aldec Riviera-PRO
Version: (32bit) 2014.10.81.5580
Home: www.aldec.com
Interface: english
OS: Windows 7even / 8
Size: 244.3 mb
Aldec Active-HDL 10.1 (32bit) - 分享鏈.txt (82 Bytes, 下载次数: 1, 售价: 5 米粒)

评分1

查看全部评分1

米粒+20 理由

收起
dives4shen + 20 支持,就是任性!

查看全部评分

"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
累计签到:313 天
连续签到:1 天
发表于 2014-12-29 23:57:22 | 显示全部楼层
多谢楼主分享。。。。。。。
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下

TA在排名榜Top100

积分:NO. 106 名

发帖:NO. 91 名

在线:NO. 90 名

累计签到:2210 天
连续签到:452 天
发表于 2015-1-3 10:35:03 | 显示全部楼层
谢谢分享,谢谢分享
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
累计签到:94 天
连续签到:5 天
发表于 2020-10-4 19:22:00 | 显示全部楼层
学习一下,谢谢楼主
"小礼物走一走,来ASME论坛支持我"
还没有人打赏,支持一下
回复 支持 反对

使用道具 举报

请登陆网站
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

服务热线

400-8888888

周一至周日:9:00-21:00

快速回复 返回顶部 返回列表